"ModelSim 仿真 Xilinx IP 核"
在本文中,我们将详细介绍 ModelSim 仿真 Xilinx IP 核的知识点,涵盖了从编译 Xilinx 仿真库到启动仿真的所有步骤。
编译 Xilinx 仿真库
在 ModelSim 中编译 Xilinx 仿真库是使用 Xilinx IP 核的前提条件。在 ModelSim SE6.2b 中,可以按照以下步骤导入 XilinxISE 库文件:在命令行中输入 compxlib 命令,然后一直 next 即可。生成 modelsim.ini 文件后,具体操作见破解说明。
创建含有 Xilinx IP 核的工程
创建含有 Xilinx IP 核的工程是 ModelSim 中最基本的操作。将工程用到的所有文件拷贝到一个文件夹里面,在 ModelSim 菜单文件列表中点击 compile 选择设计文件即可。这个步骤非常重要,因为它将决定后续仿真的结果。
启动仿真
在 work 库中双击要仿真的测试激励文件启动仿真,可能会出现"ErrorLoading Design"的错误提示,这是因为没有指定 IP 核所在的仿真库造成的错误。为避免这个错误,可以按照以下步骤进行:
1. 打开 Simulate -> Start Simulate 对话框;
2. 在 Search Libraries 栏中添加已经编译好的 XilinxCoreLib_ver 仿真库;
3. 选中要仿真的文件,比如 test_counter.v,并在 Design Unit(s) 栏中自动加入“work.test_counter”;
4. 单击“OK”,仿真自动执行。
Debussy 查看仿真波形图
Debussy 是一个功能强大的波形查看工具,可以用来查看具有 IP 核的仿真波形图。只要 ModelSim 能仿真通过,就能使用 Debussy 查看相关波形。
批处理仿真
在使用.bat 文件仿真处理时,标准格式如下:
vilb work
vlog +acc *.v
vhdl 则是 vcom
vsim –c work.*.v
这个格式将自动编译所有的.v 文件,包括测试文件,若涉及到 IP 核则需要加入行为描述文件 .v(.xco 以及 .veo 文件;对于ROM 核还需加入.mif 文件应放在工程文件夹中)。
生成 fsdb 文件
在 testbench 文件中,加入以下代码:
initial begin
$fsdbDumpfile(“*.fsdb”);
$fsdbDumpvars;
end
这样就可以生成 fsdb 文件。*号可任取文件名。
ModelSim 仿真 Xilinx IP 核需要通过编译 Xilinx 仿真库、创建含有 Xilinx IP 核的工程、启动仿真、查看仿真波形图等步骤来实现批处理仿真。